EDGE Artix 7 200T FPGA Motor/Inverter PWM Controller XDC Pin details

#use the following 2 commands for SPI FLASH programming projects
set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design]
set_property CONFIG_MODE SPIx4 [current_design]

# clk input is from the 50 MHz oscillator on EDGE Artix 7 motor PWM Controller board
#create_clock -period 20.000 -name gclk [get_ports clk_50MHz]
set_property -dict {PACKAGE_PIN V4 IOSTANDARD LVCMOS33} [get_ports {clk}]

# Set Bank 0 voltage
set_property CFGBVS VCCO [current_design]
set_property CONFIG_VOLTAGE 3.3 [current_design]

# Slide Switches
set_property -dict {PACKAGE_PIN W4  IOSTANDARD LVCMOS33} [get_ports {SW[1]}]
set_property -dict {PACKAGE_PIN R4  IOSTANDARD LVCMOS33} [get_ports {SW[2]}]
set_property -dict {PACKAGE_PIN T4  IOSTANDARD LVCMOS33} [get_ports {SW[3]}]
set_property -dict {PACKAGE_PIN Y6  IOSTANDARD LVCMOS33} [get_ports {SW[4]}]
set_property -dict {PACKAGE_PIN AA6 IOSTANDARD LVCMOS33} [get_ports {SW[5]}]
set_property -dict {PACKAGE_PIN AB7 IOSTANDARD LVCMOS33} [get_ports {SW[6]}]
set_property -dict {PACKAGE_PIN AB6 IOSTANDARD LVCMOS33} [get_ports {SW[7]}]
set_property -dict {PACKAGE_PIN AA8 IOSTANDARD LVCMOS33} [get_ports {SW[8]}]

# LEDs
set_property -dict {PACKAGE_PIN AB3 IOSTANDARD LVCMOS33} [get_ports {LED[1]}]
set_property -dict {PACKAGE_PIN AB2 IOSTANDARD LVCMOS33} [get_ports {LED[2]}]
set_property -dict {PACKAGE_PIN Y3  IOSTANDARD LVCMOS33} [get_ports {LED[3]}]
set_property -dict {PACKAGE_PIN AA3 IOSTANDARD LVCMOS33} [get_ports {LED[4]}]
set_property -dict {PACKAGE_PIN AA5 IOSTANDARD LVCMOS33} [get_ports {LED[5]}]
set_property -dict {PACKAGE_PIN AB5 IOSTANDARD LVCMOS33} [get_ports {LED[6]}]
set_property -dict {PACKAGE_PIN Y4  IOSTANDARD LVCMOS33} [get_ports {LED[7]}]
set_property -dict {PACKAGE_PIN AA4 IOSTANDARD LVCMOS33} [get_ports {LED[8]}]

# Push Buttons
set_property -dict {PACKAGE_PIN AB8 IOSTANDARD LVCMOS33} [get_ports {PB[0]}]
set_property -dict {PACKAGE_PIN Y8  IOSTANDARD LVCMOS33} [get_ports {PB[1]}]
set_property -dict {PACKAGE_PIN Y7  IOSTANDARD LVCMOS33} [get_ports {PB[2]}]
set_property -dict {PACKAGE_PIN Y9  IOSTANDARD LVCMOS33} [get_ports {PB[3]}]

# 2x16 LCD
set_property -dict { PACKAGE_PIN J17 IOSTANDARD LVCMOS33 } [get_ports {DATA[7]}];
set_property -dict { PACKAGE_PIN L14 IOSTANDARD LVCMOS33 } [get_ports {DATA[6]}];
set_property -dict { PACKAGE_PIN L15 IOSTANDARD LVCMOS33 } [get_ports {DATA[5]}];
set_property -dict { PACKAGE_PIN L16 IOSTANDARD LVCMOS33 } [get_ports {DATA[4]}];
set_property -dict { PACKAGE_PIN K16 IOSTANDARD LVCMOS33 } [get_ports {DATA[3]}];
set_property -dict { PACKAGE_PIN M15 IOSTANDARD LVCMOS33 } [get_ports {DATA[2]}];
set_property -dict { PACKAGE_PIN M16 IOSTANDARD LVCMOS33 } [get_ports {DATA[1]}];
set_property -dict { PACKAGE_PIN M17 IOSTANDARD LVCMOS33 } [get_ports {DATA[0]}];
set_property -dict { PACKAGE_PIN K17 IOSTANDARD LVCMOS33 } [get_ports {LCD_E}];
set_property -dict { PACKAGE_PIN L13 IOSTANDARD LVCMOS33 } [get_ports {LCD_RS}];

# USB UART
set_property -dict {PACKAGE_PIN AA11 IOSTANDARD LVCMOS33} [get_ports {UART_txd}]
set_property -dict {PACKAGE_PIN AA10 IOSTANDARD LVCMOS33} [get_ports {UART_rxd}]

# WIFI
set_property -dict { PACKAGE_PIN W16   IOSTANDARD LVCMOS33  } [get_ports {ESP_EN}]
set_property -dict { PACKAGE_PIN T16   IOSTANDARD LVCMOS33  } [get_ports {ESP_TXD}]
set_property -dict { PACKAGE_PIN U16   IOSTANDARD LVCMOS33  } [get_ports {ESP_RXD}]

# USB 2.0
set_property -dict { PACKAGE_PIN T3  IOSTANDARD LVCMOS33 } [get_ports {USB_D0}];
set_property -dict { PACKAGE_PIN T1  IOSTANDARD LVCMOS33 } [get_ports {USB_D1}];
set_property -dict { PACKAGE_PIN U1  IOSTANDARD LVCMOS33 } [get_ports {USB_D2}];
set_property -dict { PACKAGE_PIN U2  IOSTANDARD LVCMOS33 } [get_ports {USB_D3}];
set_property -dict { PACKAGE_PIN V2  IOSTANDARD LVCMOS33 } [get_ports {USB_D4}];
set_property -dict { PACKAGE_PIN R3  IOSTANDARD LVCMOS33 } [get_ports {USB_D5}];
set_property -dict { PACKAGE_PIN R2  IOSTANDARD LVCMOS33 } [get_ports {USB_D6}];
set_property -dict { PACKAGE_PIN W2  IOSTANDARD LVCMOS33 } [get_ports {USB_D7}];
set_property -dict { PACKAGE_PIN Y2  IOSTANDARD LVCMOS33 } [get_ports {USB_RXF}];
set_property -dict { PACKAGE_PIN W1  IOSTANDARD LVCMOS33 } [get_ports {USB_TXE}];
set_property -dict { PACKAGE_PIN Y1  IOSTANDARD LVCMOS33 } [get_ports {USB_RD}];
set_property -dict { PACKAGE_PIN U3  IOSTANDARD LVCMOS33 } [get_ports {USB_WR}];
set_property -dict { PACKAGE_PIN V3  IOSTANDARD LVCMOS33 } [get_ports {USB_SIWUA}];
set_property -dict { PACKAGE_PIN AA1 IOSTANDARD LVCMOS33 } [get_ports {USB_CLKOUT}];
set_property -dict { PACKAGE_PIN AB1 IOSTANDARD LVCMOS33 } [get_ports {USB_OE}];

# 16 CHANNEL BIPOLAR ADC RANGE -10V TO +10V
set_property -dict { PACKAGE_PIN Y17   IOSTANDARD LVCMOS33 } [get_ports {ADC_DB0}];
set_property -dict { PACKAGE_PIN Y16   IOSTANDARD LVCMOS33 } [get_ports {ADC_DB1}];
set_property -dict { PACKAGE_PIN AA16  IOSTANDARD LVCMOS33 } [get_ports {ADC_DB2}];
set_property -dict { PACKAGE_PIN AB16  IOSTANDARD LVCMOS33 } [get_ports {ADC_DB3}];
set_property -dict { PACKAGE_PIN AB17  IOSTANDARD LVCMOS33 } [get_ports {ADC_DB4/SER1W}];
set_property -dict { PACKAGE_PIN AA13  IOSTANDARD LVCMOS33 } [get_ports {ADC_DB5/CRCEN}];
set_property -dict { PACKAGE_PIN AB13  IOSTANDARD LVCMOS33 } [get_ports {ADC_DB6}];
set_property -dict { PACKAGE_PIN AA15  IOSTANDARD LVCMOS33 } [get_ports {ADC_DB7}];
set_property -dict { PACKAGE_PIN AB15  IOSTANDARD LVCMOS33 } [get_ports {ADC_DB8}];
set_property -dict { PACKAGE_PIN Y13   IOSTANDARD LVCMOS33 } [get_ports {ADC_DB9}];
set_property -dict { PACKAGE_PIN AA14  IOSTANDARD LVCMOS33 } [get_ports {ADC_DB10/SDI}];
set_property -dict { PACKAGE_PIN W14   IOSTANDARD LVCMOS33 } [get_ports {ADC_DB11/SDOB}];
set_property -dict { PACKAGE_PIN Y14   IOSTANDARD LVCMOS33 } [get_ports {ADC_DB12/SDOA}];
set_property -dict { PACKAGE_PIN AB11  IOSTANDARD LVCMOS33 } [get_ports {ADC_DB13}];
set_property -dict { PACKAGE_PIN AB12  IOSTANDARD LVCMOS33 } [get_ports {ADC_DB14}];
set_property -dict { PACKAGE_PIN AA9   IOSTANDARD LVCMOS33 } [get_ports {ADC_DB15}];
set_property -dict { PACKAGE_PIN AB10  IOSTANDARD LVCMOS33 } [get_ports {ADC_CS}];
set_property -dict { PACKAGE_PIN V10   IOSTANDARD LVCMOS33 } [get_ports {ADC_WR/BURST}];
set_property -dict { PACKAGE_PIN W10   IOSTANDARD LVCMOS33 } [get_ports {ADC_SCK/RD}];
set_property -dict { PACKAGE_PIN Y11   IOSTANDARD LVCMOS33 } [get_ports {ADC_CONVST}];
set_property -dict { PACKAGE_PIN Y12   IOSTANDARD LVCMOS33 } [get_ports {ADC_BUSY}];
set_property -dict { PACKAGE_PIN W11   IOSTANDARD LVCMOS33 } [get_ports {ADC_RESET}];
set_property -dict { PACKAGE_PIN W12   IOSTANDARD LVCMOS33 } [get_ports {ADC_REFSEL}];

# 8 CHANNEL XADC Single Ended Input 
set_property -dict { PACKAGE_PIN H13  IOSTANDARD LVCMOS33 } [get_ports {AD0P}];
set_property -dict { PACKAGE_PIN G13  IOSTANDARD LVCMOS33 } [get_ports {AD0N}];
set_property -dict { PACKAGE_PIN J14  IOSTANDARD LVCMOS33 } [get_ports {AD1P}];
set_property -dict { PACKAGE_PIN H14  IOSTANDARD LVCMOS33 } [get_ports {AD1N}];
set_property -dict { PACKAGE_PIN J22  IOSTANDARD LVCMOS33 } [get_ports {AD2P}];
set_property -dict { PACKAGE_PIN H22  IOSTANDARD LVCMOS33 } [get_ports {AD2N}];
set_property -dict { PACKAGE_PIN K21  IOSTANDARD LVCMOS33 } [get_ports {AD3P}];
set_property -dict { PACKAGE_PIN K22  IOSTANDARD LVCMOS33 } [get_ports {AD3N}];
set_property -dict { PACKAGE_PIN G15  IOSTANDARD LVCMOS33 } [get_ports {AD8P}];
set_property -dict { PACKAGE_PIN G16  IOSTANDARD LVCMOS33 } [get_ports {AD8N}];
set_property -dict { PACKAGE_PIN J15  IOSTANDARD LVCMOS33 } [get_ports {AD9P}];
set_property -dict { PACKAGE_PIN H15  IOSTANDARD LVCMOS33 } [get_ports {AD9N}];
set_property -dict { PACKAGE_PIN H20  IOSTANDARD LVCMOS33 } [get_ports {AD10P}];
set_property -dict { PACKAGE_PIN G20  IOSTANDARD LVCMOS33 } [get_ports {AD10N}];
set_property -dict { PACKAGE_PIN M21  IOSTANDARD LVCMOS33 } [get_ports {AD11P}];
set_property -dict { PACKAGE_PIN L21  IOSTANDARD LVCMOS33 } [get_ports {AD11N}];

# 8 CHANNEL DAC
set_property -dict { PACKAGE_PIN N19  IOSTANDARD LVCMOS33 } [get_ports {DAC_SYNQ}];
set_property -dict { PACKAGE_PIN N18  IOSTANDARD LVCMOS33 } [get_ports {DAC_SCLK}];
set_property -dict { PACKAGE_PIN N20  IOSTANDARD LVCMOS33 } [get_ports {DAC_DIN}];

#3V3 I/O CONNECTOR
set_property -dict { PACKAGE_PIN P20  IOSTANDARD LVCMOS33} [get_ports {IO1}];
set_property -dict { PACKAGE_PIN V22  IOSTANDARD LVCMOS33} [get_ports {IO2}];
set_property -dict { PACKAGE_PIN T21  IOSTANDARD LVCMOS33} [get_ports {IO3}];
set_property -dict { PACKAGE_PIN U21  IOSTANDARD LVCMOS33} [get_ports {IO4}];
set_property -dict { PACKAGE_PIN P19  IOSTANDARD LVCMOS33} [get_ports {IO5}];
set_property -dict { PACKAGE_PIN R19  IOSTANDARD LVCMOS33} [get_ports {IO6}];
set_property -dict { PACKAGE_PIN T20  IOSTANDARD LVCMOS33} [get_ports {IO7}];
set_property -dict { PACKAGE_PIN W21  IOSTANDARD LVCMOS33} [get_ports {IO8}];
set_property -dict { PACKAGE_PIN W22  IOSTANDARD LVCMOS33} [get_ports {IO9}];      
set_property -dict { PACKAGE_PIN AA20 IOSTANDARD LVCMOS33} [get_ports {IO10}];
set_property -dict { PACKAGE_PIN AA21 IOSTANDARD LVCMOS33} [get_ports {IO11}]; 
set_property -dict { PACKAGE_PIN Y21  IOSTANDARD LVCMOS33} [get_ports {IO12}];      
set_property -dict { PACKAGE_PIN Y22  IOSTANDARD LVCMOS33} [get_ports {IO13}];
set_property -dict { PACKAGE_PIN AB21 IOSTANDARD LVCMOS33} [get_ports {IO14}];
set_property -dict { PACKAGE_PIN AB22 IOSTANDARD LVCMOS33} [get_ports {IO15}];    
set_property -dict { PACKAGE_PIN U20  IOSTANDARD LVCMOS33} [get_ports {IO16}];
set_property -dict { PACKAGE_PIN V20  IOSTANDARD LVCMOS33} [get_ports {IO17}];
set_property -dict { PACKAGE_PIN W19  IOSTANDARD LVCMOS33} [get_ports {IO18}];
set_property -dict { PACKAGE_PIN W20  IOSTANDARD LVCMOS33} [get_ports {IO19}];
set_property -dict { PACKAGE_PIN Y18  IOSTANDARD LVCMOS33} [get_ports {IO20}];
set_property -dict { PACKAGE_PIN Y19  IOSTANDARD LVCMOS33} [get_ports {IO21}];
set_property -dict { PACKAGE_PIN V18  IOSTANDARD LVCMOS33} [get_ports {IO22}];
set_property -dict { PACKAGE_PIN V19  IOSTANDARD LVCMOS33} [get_ports {IO23}];
set_property -dict { PACKAGE_PIN AA19 IOSTANDARD LVCMOS33} [get_ports {IO24}];
set_property -dict { PACKAGE_PIN AB20 IOSTANDARD LVCMOS33} [get_ports {IO25}];      
set_property -dict { PACKAGE_PIN V17  IOSTANDARD LVCMOS33} [get_ports {IO26}];
set_property -dict { PACKAGE_PIN W17  IOSTANDARD LVCMOS33} [get_ports {IO27}]; 
set_property -dict { PACKAGE_PIN AA18 IOSTANDARD LVCMOS33} [get_ports {IO28}];      
set_property -dict { PACKAGE_PIN AB18 IOSTANDARD LVCMOS33} [get_ports {IO29}];
set_property -dict { PACKAGE_PIN U17  IOSTANDARD LVCMOS33} [get_ports {IO30}];
set_property -dict { PACKAGE_PIN U18  IOSTANDARD LVCMOS33} [get_ports {IO31}];    
set_property -dict { PACKAGE_PIN P14  IOSTANDARD LVCMOS33} [get_ports {IO32}];
set_property -dict { PACKAGE_PIN R14  IOSTANDARD LVCMOS33} [get_ports {IO33}];
set_property -dict { PACKAGE_PIN R18  IOSTANDARD LVCMOS33} [get_ports {IO34}];
set_property -dict { PACKAGE_PIN T18  IOSTANDARD LVCMOS33} [get_ports {IO35}];    
set_property -dict { PACKAGE_PIN N17  IOSTANDARD LVCMOS33} [get_ports {IO36}];
                                 
#5V I/O CONNECTOR I
set_property -dict { PACKAGE_PIN B15  IOSTANDARD LVCMOS33} [get_ports  {PWM1}];
set_property -dict { PACKAGE_PIN B16  IOSTANDARD LVCMOS33} [get_ports  {PWM2}];
set_property -dict { PACKAGE_PIN C13  IOSTANDARD LVCMOS33} [get_ports  {PWM3}];
set_property -dict { PACKAGE_PIN B13  IOSTANDARD LVCMOS33} [get_ports  {PWM4}];
set_property -dict { PACKAGE_PIN A15  IOSTANDARD LVCMOS33} [get_ports  {PWM5}];
set_property -dict { PACKAGE_PIN A16  IOSTANDARD LVCMOS33} [get_ports  {PWM6}];
set_property -dict { PACKAGE_PIN A13  IOSTANDARD LVCMOS33} [get_ports  {PWM7}];
set_property -dict { PACKAGE_PIN A14  IOSTANDARD LVCMOS33} [get_ports  {PWM8}];
set_property -dict { PACKAGE_PIN B17  IOSTANDARD LVCMOS33} [get_ports  {PWM9}];      
set_property -dict { PACKAGE_PIN B18  IOSTANDARD LVCMOS33} [get_ports  {PWM10}];
set_property -dict { PACKAGE_PIN C17  IOSTANDARD LVCMOS33} [get_ports  {PWM11}]; 
set_property -dict { PACKAGE_PIN C18  IOSTANDARD LVCMOS33} [get_ports  {PWM12}];      
set_property -dict { PACKAGE_PIN C19  IOSTANDARD LVCMOS33} [get_ports  {PWM13}];
set_property -dict { PACKAGE_PIN B20  IOSTANDARD LVCMOS33} [get_ports  {PWM14}];
set_property -dict { PACKAGE_PIN A20  IOSTANDARD LVCMOS33} [get_ports  {PWM15}];    
set_property -dict { PACKAGE_PIN A18  IOSTANDARD LVCMOS33} [get_ports  {PWM16}];
set_property -dict { PACKAGE_PIN A19  IOSTANDARD LVCMOS33} [get_ports  {PWM17}];
set_property -dict { PACKAGE_PIN F19  IOSTANDARD LVCMOS33} [get_ports  {PWM18}];
set_property -dict { PACKAGE_PIN F20  IOSTANDARD LVCMOS33} [get_ports  {PWM19}];
set_property -dict { PACKAGE_PIN D20  IOSTANDARD LVCMOS33} [get_ports  {PWM20}];
set_property -dict { PACKAGE_PIN C20  IOSTANDARD LVCMOS33} [get_ports  {PWM21}];
set_property -dict { PACKAGE_PIN C22  IOSTANDARD LVCMOS33} [get_ports  {PWM22}];
set_property -dict { PACKAGE_PIN B22  IOSTANDARD LVCMOS33} [get_ports  {PWM23}];
set_property -dict { PACKAGE_PIN B21  IOSTANDARD LVCMOS33} [get_ports  {PWM24}];
set_property -dict { PACKAGE_PIN A21  IOSTANDARD LVCMOS33} [get_ports  {CAPTURE1}];
set_property -dict { PACKAGE_PIN E22  IOSTANDARD LVCMOS33} [get_ports  {CAPTURE2}];
set_property -dict { PACKAGE_PIN D22  IOSTANDARD LVCMOS33} [get_ports  {CAPTURE3}];
set_property -dict { PACKAGE_PIN E21  IOSTANDARD LVCMOS33} [get_ports  {CAPTURE4}];
set_property -dict { PACKAGE_PIN D21  IOSTANDARD LVCMOS33} [get_ports  {CAPTURE5}];
set_property -dict { PACKAGE_PIN G21  IOSTANDARD LVCMOS33} [get_ports  {CAPTURE6}];
set_property -dict { PACKAGE_PIN G22  IOSTANDARD LVCMOS33} [get_ports  {CAPTURE7}];
set_property -dict { PACKAGE_PIN F21  IOSTANDARD LVCMOS33} [get_ports  {CAPTURE8}];
set_property -dict { PACKAGE_PIN C14  IOSTANDARD LVCMOS33} [get_ports  {DIR1}];
set_property -dict { PACKAGE_PIN C15  IOSTANDARD LVCMOS33} [get_ports  {DIR2}];
set_property -dict { PACKAGE_PIN E19  IOSTANDARD LVCMOS33} [get_ports  {DIR3}];
set_property -dict { PACKAGE_PIN D19  IOSTANDARD LVCMOS33} [get_ports  {DIR4}];
set_property -dict { PACKAGE_PIN D14  IOSTANDARD LVCMOS33} [get_ports  {OE1}]; #To Enable J5 Connector output. Assign Logic low ‘0’ to this pin


#5V I/O CONNECTOR II
set_property -dict { PACKAGE_PIN G4  IOSTANDARD LVCMOS33} [get_ports  {PWM25}];      
set_property -dict { PACKAGE_PIN M2  IOSTANDARD LVCMOS33} [get_ports  {PWM26}];
set_property -dict { PACKAGE_PIN M3  IOSTANDARD LVCMOS33} [get_ports  {PWM27}]; 
set_property -dict { PACKAGE_PIN L1  IOSTANDARD LVCMOS33} [get_ports  {PWM28}];      
set_property -dict { PACKAGE_PIN M1  IOSTANDARD LVCMOS33} [get_ports  {PWM29}];
set_property -dict { PACKAGE_PIN K3  IOSTANDARD LVCMOS33} [get_ports  {PWM30}];
set_property -dict { PACKAGE_PIN L3  IOSTANDARD LVCMOS33} [get_ports  {PWM31}];    
set_property -dict { PACKAGE_PIN J4  IOSTANDARD LVCMOS33} [get_ports  {PWM32}];
set_property -dict { PACKAGE_PIN K4  IOSTANDARD LVCMOS33} [get_ports  {PWM33}];
set_property -dict { PACKAGE_PIN H4  IOSTANDARD LVCMOS33} [get_ports  {PWM34}];
set_property -dict { PACKAGE_PIN G3  IOSTANDARD LVCMOS33} [get_ports  {PWM35}];    
set_property -dict { PACKAGE_PIN H3  IOSTANDARD LVCMOS33} [get_ports  {PWM36}];
set_property -dict { PACKAGE_PIN H5  IOSTANDARD LVCMOS33} [get_ports  {PWM37}];      
set_property -dict { PACKAGE_PIN J5  IOSTANDARD LVCMOS33} [get_ports  {PWM38}];
set_property -dict { PACKAGE_PIN J2  IOSTANDARD LVCMOS33} [get_ports  {PWM39}]; 
set_property -dict { PACKAGE_PIN K2  IOSTANDARD LVCMOS33} [get_ports  {PWM40}];      
set_property -dict { PACKAGE_PIN G2  IOSTANDARD LVCMOS33} [get_ports  {PWM41}];
set_property -dict { PACKAGE_PIN H2  IOSTANDARD LVCMOS33} [get_ports  {PWM42}];
set_property -dict { PACKAGE_PIN J1  IOSTANDARD LVCMOS33} [get_ports  {PWM43}];    
set_property -dict { PACKAGE_PIN K1  IOSTANDARD LVCMOS33} [get_ports  {PWM44}];
set_property -dict { PACKAGE_PIN E3  IOSTANDARD LVCMOS33} [get_ports  {PWM45}];
set_property -dict { PACKAGE_PIN F3  IOSTANDARD LVCMOS33} [get_ports  {PWM46}];
set_property -dict { PACKAGE_PIN F1  IOSTANDARD LVCMOS33} [get_ports  {PWM47}];    
set_property -dict { PACKAGE_PIN G1  IOSTANDARD LVCMOS33} [get_ports  {PWM48}];
set_property -dict { PACKAGE_PIN D2  IOSTANDARD LVCMOS33} [get_ports  {CAPTURE9}];      
set_property -dict { PACKAGE_PIN E2  IOSTANDARD LVCMOS33} [get_ports  {CAPTURE10}];
set_property -dict { PACKAGE_PIN D1  IOSTANDARD LVCMOS33} [get_ports  {CAPTURE11}]; 
set_property -dict { PACKAGE_PIN E1  IOSTANDARD LVCMOS33} [get_ports  {CAPTURE12}];      
set_property -dict { PACKAGE_PIN B2  IOSTANDARD LVCMOS33} [get_ports  {CAPTURE13}];
set_property -dict { PACKAGE_PIN C2  IOSTANDARD LVCMOS33} [get_ports  {CAPTURE14}];
set_property -dict { PACKAGE_PIN A1  IOSTANDARD LVCMOS33} [get_ports  {CAPTURE15}];    
set_property -dict { PACKAGE_PIN B1  IOSTANDARD LVCMOS33} [get_ports  {CAPTURE16}];
set_property -dict { PACKAGE_PIN R1  IOSTANDARD LVCMOS33} [get_ports  {DIR5}];
set_property -dict { PACKAGE_PIN P1  IOSTANDARD LVCMOS33} [get_ports  {DIR6}];
set_property -dict { PACKAGE_PIN P2  IOSTANDARD LVCMOS33} [get_ports  {DIR7}];
set_property -dict { PACKAGE_PIN N2  IOSTANDARD LVCMOS33} [get_ports  {DIR8}];
set_property -dict { PACKAGE_PIN N3  IOSTANDARD LVCMOS33} [get_ports  {OE2}]; #To Enable J6 Connector output. Assign Logic low ‘0’ to this pin
×

Chat with us for queries on the EDGE FPGA kit

×