EDGE Spartan 7 FPGA Development board User Manual

Introduction

EDGE Spartan 7 FPGA Development board is the low cost and feature rich development board which is upgraded from EDGE Spartan 6 kit. Both EDGE Spartan 6 and Spartan 7 FPGA kits share the same interfaces except FPGA IC. An advantage of EDGE Spartan 7 over Spartan 6 is fully compatible with Vivado design suite.

Its features includes FPGA, SPI FLASH, Wi-Fi, Bluetooth, ADC, DAC, LCD, 7 segment, VGA, PS2, Stereo Jack, buzzer, Push Button, Slide Switch, LED, Temperature Sensor, LDR and UART. The Board also provides additional interface like CMOS Camera and TFT Display at the expansion connectors.

EDGE FPGA kit is ready to use Laboratory kit for ECE Curriculum. It can be useful for developing basic to advanced level digital circuits.

Advantage of EDGE FPGA kit is easy to implement plenty of applications ranging from Wireless control, Image/video Processing, Internet of Things without additional interfaces.
Xilinx offers free WebPACK™ versions of Vivado design suite, so designs can be implemented at no additional cost

Board Applications

• Wireless control
• Environment monitor
• IoT (Internet of Things)
• Product Prototyping
• Image Processing
• Video Processing
• Audio Processing

Board Features

• Xilinx XC7S15 FTGB196-1 Spartan-7 FPGA IC
• 8MB SPI FLASH Memory
• On-Board USB JTAG Programmer
• USB to UART Interface
• WIFI Interface
• Low Power Bluetooth Interface
• 12 bit VGA Interface
• 8 Channel SPI ADC
• Temperature Sensor
• LDR Interface
• SPI DAC
• 2×16 LCD Display
• 4 Digit Seven Segment Display
• 5v Buzzer
• PS2 compatible USB interface

Features of EDGE Spartan 7 FPGA Development Board

Power Supply

EDGE Spartan 7 development board can get 5V power from either USB JTAG Port U6 or External Power Supply connector J1. Switch SW3 can be used to select the source of power from USB or External Power Supply.
Board consist of 3 Voltage regulators (3.3v, 1.8v and 1v). The Kit requires 3.3v supply for FPGA I/O, Clock, USB, ADC, DAC, FLASH, and so on. The 1.8v Voltage is for FPGA Auxiliary supply. The 1v power supply is dedicated for FPGA Core and Block RAM voltage.

Caution: Only 5v Supply must be provided to the board from external source or USB connector.

Programming hardware

FPGA can be configured either from USB JTAG using Xilinx software or by on-board SPI FLASH Memory.

FPGA configured through JTAG gets erased when the power supply is removed or by pressing reset button SW1.
To store the data permanently on FPGA, we have to store the configuration bit file to SPI FLASH Memory. It automatically reconfigures the FPGA after reset or Power on.

The EDGE Spartan 7 FPGA Development board is fully compatible with Xilinx Vivado design suite with on-board USB JTAG Interface.

USB UART

The EDGE Board includes FT2232 IC acts as USB UART Bridge to communicate board with windows PC COM port interface.
The UART Transmitter and Receiver lines of FTDI chip is directly connected to the Spartan 7 FPGA I/O pins for USB UART Communication.

WIFI Communication

The EDGE Board contains On-board ESP8266 12F WIFI Module connected with Spartan 7 FPGA through serial interface. The ESP WIFI Modem helps to communicate FPGA with cloud. The Data can be stored and retrieved from the cloud with the help of AT Commands. The range of communication for WIFI modem is 50 – 100 meter distance.

Note: To enable power supply to the WIFI Modem, place jumper at Enable and centre pin of J11. To disable power, place jumper at Disable and centre pin of J11.

J10 connector got TX, RX and GPIO0 Line of ESP12F WIFI module for testing and firmware update.

Bluetooth Communication

The EDGE contains low power Bluetooth 4.0 BLE interface on-board. The Bluetooth Module CC2541 is serially interfaced with FPGA with Transmit and Receive lines.

Note: To enable power supply to the Bluetooth Modem, place jumper at Enable and centre pin of J13. To disable power, place jumper at Disable and centre pin of J13.

J15 connector got TX, RX and Key Line of Bluetooth module for testing and firmware update.

Slide Switches

The EDGE board includes 16 SPDT slide switches for digital input. These digital inputs are connected to Spartan 7 FPGA through resistors for protection against short circuit. Slide switch outputs constant high or constant low based on the user changing its position.

Push Button

The Board contains 5 Push buttons for providing momentary digital inputs. They are connected to FPGA lines through resistors to prevent short circuit. By default the switch is in Active low. When the user pressed the push button they are driven high.

LEDs

The Kit consists of 16 LEDs for displaying digital outputs. These LED’s are connected with FPGA through a series resistor. Logic High signal turns ON LED and Logic Low signal turns OFF LED to demonstrate the digital output.

Note: To enable LEDs, Jumper needs to be placed at ground position at J4 and J9.

The J4 connector enables LEDs D2 to D9.
The J9 connector enables LEDs D10 to D17.

Seven segment Display

The EDGE Board consist of 4 digit 7 segment displays with common anode. Each of the seven segment contains LEDs can be turned on by sending active low signal.
For example, to display digit 8 in the seven segments display. All the segments are enabled using active low ‘0’ signal.

2×16 LCD

The EDGE board consist of 2×16 LCD interface at the female connector J2. LCD displays is interfaced in the 8 bit data mode, RS pin are used to select data/command mode and En are used to enable the LCD.

Note: R/W pin is connected to ground to keep LCD in always write mode.

SPI ADC

The EDGE board contains Microchip MCP3208 8-channel 12-bit SPI ADC. ADC is connected to FPGA through 4 SPI lines. The SPI signals are Serial Clock, Data in, Data out, chip select.

Input Analog signal to ADC channel Ch0 – Ch5 are provided at male connector J7.

Light Detect Resistor LDR is connected at the ADC channel Ch6. LDR provides variable resistance based on the light intensity that falls upon it.

Temperature Sensor LM35 is connected at the ADC channel Ch7.

Refer MCP3208 Datasheet to learn more about its working.

SPI DAC

The EDGE Board consist of Microchip MCP4921 SPI DAC to perform digital to analog conversion. The output is available at 2nd row 1st pin of the connector J7.

SPI interface provides Serial clock, Data input and chip select to FPGA with DAC. Spartan6 FPGA act as master and MCP4921 act as slave device.

VGA

The EDGE Board contains 12 bit VGA interface to generate VGA signals from FPGA and display the output in the VGA monitor. The 12 bit VGA output the depth of 4096 colours in the Monitor. Series resistors are used to construct DAC to implement VGA interface.

PS2

The EDGE board contains PS2 interface connected with FPGA through clock and data lines. PS2 interface is directly provided in the USB connector. Only USB keyboard reverse compatible with ps2 connector work on this port.

Stereo Jack

Stereo Audio Jack with low pass filter is connected on the FPGA I/O lines on the EDGE Board. Stereo Jack provides delta sigma audio output.

Buzzer

The Edge board contains piezo buzzer interface with FPGA through transistor. 5v Buzzer is used provide alert tone. Buzzer’s resonant frequency is 3.8 kHz (where you can expect its best performance).

Note: To enable Buzzer, place jumper at Enable and centre pin of J6. To disable power, place jumper at Disable and centre pin of J6.

Clock

The Edge board contains 50 MHz Oscillator to provide clock input to the FPGA. The input clock can drive MMCMs or PLL to generate clocks of various frequencies and with known phase relationships that may be needed throughout a design.

 

 

TFT Display

The EDGE Board contains TFT display interface at the J14 connector. TFT display communicates with FPGA through SPI protocol. SPI TFT is made of ST7735 SPI controller with 160×128 Display.

20 Pin Expansion Connector / CMOS Camera Interface

Expansion connector J5 provides 16 I/O lines from Spartan 7 FPGA to the external interface.

Note: To interface OV7670 CMOS Camera with EDGE Board. Leave pin1 and pin2 unconnected. Connect pin3 of expansion connector to pin1 of CMOS Camera.

Driver Installation

Vivado design Suite automatically installs drivers for EDGE Spartan 7 Board. To verify it, Connect EDGE Spartan 7 FPGA Kit to PC through USB cable and Turn On the kit.

Open device manager and check the following drivers are installed,

USB Serial Port (COM), USB Serial Converter A and USB Serial Converter B

Then you can skip driver installation procedure and start working with Configuring FPGA using Vivado.

If the drivers are not installed you could see the USB device under Other Devices Category as shown below. Then you have to follow the driver installation steps given below.

Step1: Download and install virtual com port USB Driver for FTDI Chip.
http://www.ftdichip.com/Drivers/VCP.htm

Step2: Install driver by right click downloaded file and run as administrator

Step3: Connect USB cable to FPGA kit USB Port and PC USB Port. Turn on the kit.

Step4: Open Device Manager. There are 2 other devices detected without drivers as shown in figure.

Step5: Right Click on the first USB device and select update driver.
Now select let me pick from the list of device drivers from my computer as shown below.

Step6: Now Select USB Serial Bus Controllers and click next.

Step7: Select FTDI under manufacturer and select USB Serial converter A under model. (If multiple driver with Serial converter A present select latest version)

Step8: Repeat step 5 to step 7 for second unknown device. On step 7 select USB Serial Converter B instead A.

Step9: Now both USB Serial Convertor A and USB Serial Convertor B are detected under USB Controllers. But there another driver needs to be installed for USB Serial Port.

Step10: To install USB Serial Port, Right click and select update drive. Now select let me pick from the list of device drivers from my computer as shown below.

Step11: Select Ports (COM & LPT) option and click next.

Step12: Select FTDI under manufacturer and Select USB Serial Port under Model.

Step13: Now Drivers are installed and devices are detected under Ports and USB Controllers section.

Programming EDGE Board Spartan 7 FPGA using Vivado Design Suite

• Connect EDGE Spartan 7 FPGA Kit to PC through USB cable and Turn On the kit.

• Open “Hardware Manager -> Open Target -> Auto Connect

• If the device is detected successfully, then select “Program Device” by right click on the target device “xc7a35t_0” as shown below

USB cable to FPGA kit U9 Port and another end to PC USB Port.

Step4: Open Device Manager. There are 2 other devices detected without drivers as shown in figure.

Step5: Right Click on the first USB device and select update driver.
Now select let me pick from the list of device drivers from my computer as shown below.

Step6: Now Select USB Serial Bus Controllers and click next.

Step7: Select FTDI under manufacturer and select USB Serial converter A under model. (If multiple driver with Serial converter A present select latest version)

Step8: Repeat step 5 to step 7 for second unknown device. On step 7 select USB Serial Converter B instead A.

Step9: Now both USB Serial Convertor A and USB Serial Convertor B are detected under USB Controllers. But there another driver needs to be installed for USB Serial Port.

Step10: To install USB Serial Port, Right click and select update drive. Now select let me pick from the list of device drivers from my computer as shown below.

 

Step11: Select Ports (COM & LPT) option and click next.

Step12: Select FTDI under manufacturer and Select USB Serial Port under Model.

Step13: Now Drivers are installed and devices are detected under Ports and USB Controllers section.

Programming EDGE Board Spartan 7 FPGA using Vivado Design Suite

• Connect EDGE Spartan 7 FPGA Kit to PC through USB cable and Turn On the kit.

• Open “Hardware Manager -> Open Target -> Auto Connect

• If the device is detected successfully, then select “Program Device” by right click on the target device “xc7s15_0” as shown below

 

• Browse the Bit file need to be downloaded to the Spartan 7 FPGA and click Program.

• Once the Program Succeeds, Done LED D1 light up on EDGE Spartan 7 FPGA kit.

 

Programming Quad SPI FLASH Memory using Vivado Design Suite

• Open “Bitstream Settings”, check “-bin_file*” checkbox and click OK.

• Click on “Generate Bitstream” to generate your bit and bin file.

 

• Connect EDGE Spartan 7 FPGA Kit to PC through USB cable and Turn On the kit.

• Open “Hardware Manager -> Open Target -> Auto Connect

• If the device is detected successfully, then select “Add Configuration Memory Device” by right click on the target device “xc7s15_0” as shown below.

 

  • Select the SPI FLASH memory. Spansion memory device “s25fl064l-spi-x1_x2_x4” then click OK.

• Now Dialog box open and ask for configuration Memory. Click Ok.

• Browse the bin file to be programmed in the SPI FLASH Memory and click Program.

• Once the Program succeeds the following Dialog Box display the success message.

• To erase the PROM file, untick Program and verify option from Program Configuration Memory Window and click OK as shown in figure.

 

×

Chat with us for queries on the EDGE FPGA kit

×